1
Fork 0
mirror of git://git.sv.gnu.org/emacs.git synced 2025-12-15 10:30:25 -08:00
Commit graph

121462 commits

Author SHA1 Message Date
Artur Malabarba
b1c23fb940 * lisp/emacs-lisp/package.el: Be more careful with the init file
(package--ensure-init-file): Check that user-init-file is set,
exists, is readable, and is writable.  (Bug#20584)
Also expand the docstring.
2015-05-15 10:17:48 +01:00
Wilson Snyder
ca6a7774c5 Sync with upstream verilog-mode revision 6232468
* lisp/progmodes/verilog-mode.el (verilog-font-lock-grouping-keywords-face)
(verilog-highlight-grouping-keywords): Fix use of face when
`verilog-highlight-grouping-keywords' set.  Reported by Jeff
Pompa.
(verilog-auto-reset): Fix AUTORESET to ignore member resets if
parent is reset, bug906. Reported by Ken Schmidt.
(verilog-auto-inout-module): Add fourth regexp argument to
AUTOINOUTMODULE and AUTOINOUTCOMP for signals to not match,
bug856. Reported by John Tillema.
(verilog-auto-inst-port): Fix AUTOINST interfaces to not show
modport if signal attachment is itself a modport.  Reported by
Matthew Lovell.
(verilog-auto-reset, verilog-auto-sense-sigs): Fix AUTORESET with
always_comb and always_latch, bug844.  Reported by Greg Hilton.
(verilog-at-constraint-p, verilog-beg-of-statement-1): Fix hanging
with many curly-bracket pairs, bug663.
(verilog-set-auto-endcomments): Fix end comments for functions of
type void, etc.  Reported by Alex Reed.
(verilog-do-indent): Fix electric tab deleting form-feeds.  Note
caused by indent-line-to deleting tabls pre 24.5.
(verilog-nameable-item-re): Fix nameable items that can have an
end-identifier to include endchecker, endgroup, endprogram,
endproperty, and endsequence.  Reported by Alex Reed.
(verilog-label-be): When auto-commenting a buffer, consider
auto-comments on all known keywords (not just a subset thereof).
Reported by Alex Reed.
(verilog-auto-end-comment-lines-re)
(verilog-end-block-ordered-re, verilog-set-auto-endcomments):
Automatically comment property/endproperty blocks to match other
similar blocks like sequence/endsequence, function/endfunction,
etc. Reported by Alex Reed.
(verilog-set-auto-endcomments): Detect the function- or task-name
when auto-commenting blocks that lack an explicit
portlist. Reported by Alex Reed.
(verilog-auto, verilog-auto-insert-last): Add AUTOINSERTLAST to
allow post-AUTO user fixups, bug826. Reported by Dennis
Muhlestein.
(verilog-no-indent-begin-re): When `verilog-indent-begin-after-if'
is nil, fix indenting initial/final to match always statements,
bug825. Reported by Tim Clapp.
(verilog-extended-complete-re): Fix indentation of DPI-C imports
with c_identifiers, and DPI-C imports, bug557. Reported by ZeDong
Mao and Jason Forkey.
(verilog-read-decls): Fix parsing typed interfaces.  Fix
AUTOINOUTMODPORT missing types.  Reported by Stephan Bourduas.
Fix localparam not being ignored in AUTOINSTPARAM,
bug889. Reported by Shannon Hill.
(verilog-auto-output-every): Add regexp to AUTOOUTPUTEVERY,
bug793.  Reported by Pierre-David Pfister.
(verilog-auto-arg-format, verilog-auto-arg-ports): Add
verilog-auto-arg-format to support newlines in AUTOARG. Reported
by Jie Xiao.
(verilog-batch-execute-func): Do not batch re-auto files loaded by
Local Variables. Fix printing "no changes to be saved" with
verilog-batch. Reported by Dan Dever.
(verilog-auto-inout-module): Fix AUTOINOUTMODULE not inserting
interface-only modules, bug721.  Reported by Dean Hoyt.

Author: Alex Reed <acreed4@gmail.com>
* lisp/progmodes/verilog-mode.el (verilog-beg-of-statement): Don't
treat '<keyword>:<identifier>' as the start of a labeled
statement, bug905.  Reported by Enzo Chi.
(verilog-directive-re, verilog-compiler-directives)
(verilog-keywords): Match full set of IEEE 2012-1800 compiler
directives (plus some extras) when determining indentation, bug
901.  Reported by Bernd Beuster.
(verilog-at-constraint-p): Fix indentation of coverpoint bins if
iff expression doesn't start with word-character, bug900.
(verilog-optional-signed-range-re, verilog-optional-signed-re):
Fix incorrect indentation/alignment of unsigned declarations,
bug897.
(verilog-looking-back, verilog-in-attribute-p): Fix labling of
always constructs, bug895.
(verilog-calc-1): Fix verilog-mode constraint indentation,
bug324. Reported by Eric Mastromarchi.
(verilog-beg-of-statement): Fix indenting for some forms of
constraintsm bug433.  Reported by Brad Parker.  Fix indentation of
continued assignment incorrect if first line ends with ']',
bug437. Reported by Dan Dever.  Fix indention of cover inside an
ifdef, bug 862. Reported by Bernd Beuster Fix labeling do-while
blocks, bug842.
(verilog-preprocessor-re): Fix fork/end UNMATCHED warning,
bug859. Reported by Kaushal Modi.
(verilog-set-auto-endcomments): Fix endlabel end comments, bug888.
(verilog-backward-token): Fix indenting sensitivity lists with
named events, bug840.  Reed.
(verilog-no-indent-begin-re): Fix `verilog-indent-begin-after-if'
nil not honoring 'forever', 'foreach', and 'do' keywords.
2015-05-14 21:37:32 -04:00
Paul Eggert
1d1581a2de Check for invalid GTK+ monitor scales
* src/gtkutil.c (xg_get_gdk_scale): Return 1 for invalid scales,
INT_MAX for too-large scales.  All callers changed to assume the
result is valid (Bug#20432).
(xg_frame_set_char_size, xg_update_scrollbar_pos):
Calculate scale only if needed.

show ASCII approximations instead.
2015-05-14 09:27:25 -07:00
Eli Zaretskii
f85318cdcd Fix daemon crashes when linum-mode is turned on early on
* src/window.c (Fwindow_end): Don't try calling display engine
functions on initial-frame frame.  (Bug#20565)
2015-05-14 18:48:38 +03:00
Eli Zaretskii
17bbb94dca ; Remove etags test files whose copyright is incompatible 2015-05-14 18:35:13 +03:00
Eli Zaretskii
2958e5237c Fix selective diff browsing in Ediff
* lisp/vc/ediff-util.el (ediff-focus-on-regexp-matches): Go to the
beginning of the region before searching for the
ediff-regexp-focus-* regexps.  (Bug#20568)
2015-05-14 18:24:19 +03:00
Jan D
f2c4fd2241 Fixes Bug#20142.
* gtkutil.c (delete_cb): Don't send delete event here, it does arrive
in the main loop, even for Gtk 3 (Bug#20142).
2015-05-14 17:16:46 +02:00
Jan D
9341956c82 Don't access display after i/o error (Bug#19147).
* xterm.c (x_connection_closed): Add third arg ioerror.
If ioerror, set display to 0 (Bug#19147).
(x_error_quitter): Call x_connection_closed with third arg false.
(x_io_error_quitter): Call x_connection_closed with third arg true.
2015-05-14 16:21:02 +02:00
Jan D
c0055ff5b0 Handle GTK_SCALE, fixes Bug#20432.
* gtkutil.c (xg_get_gdk_scale): New function.
(xg_frame_set_char_size)
(x_wm_set_size_hint, xg_get_default_scrollbar_width)
(xg_get_default_scrollbar_height)
(xg_update_horizontal_scrollbar_pos): Take GTK_SCALE in to account
when setting sizes (Bug#20432).
2015-05-14 13:25:08 +02:00
Leo Liu
fbda511ab8 Fix cps--gensym
* lisp/emacs-lisp/generator.el (cps--gensym): Fix.
2015-05-14 11:19:14 +08:00
Glenn Morris
912d4a4935 Fix bootstrap (void function cl-member).
* lisp/emacs-lisp/cl-lib.el: Load cl-seq if no cl-loaddefs file.
* lisp/emacs-lisp/cl-seq.el: Provide a feature.
2015-05-13 19:02:31 -04:00
Stefan Monnier
37ab2245f2 * lisp/loadup.el ("emacs-lisp/cl-generic"): Preload
* src/lisp.mk (lisp): Add emacs-lisp/cl-generic.elc.
* lisp/emacs-lisp/cl-generic.el (cl-generic-define-method):
Avoid defalias for closures which are not immutable.
(cl--generic-prefill-dispatchers): New macro.  Use it to prefill the
dispatchers table with various entries.

* lisp/emacs-lisp/ert.el (emacs-lisp-mode-hook):
* lisp/emacs-lisp/seq.el (emacs-lisp-mode-hook): Use add-hook.
2015-05-13 18:39:49 -04:00
Eli Zaretskii
8d69f38a94 Improve tagging of C bindings in DEFVAR_*
* src/Makefile.in (TAGS): Add --regex options to tag the C binding
from DEFVAR_*.
2015-05-13 20:26:07 +03:00
Glenn Morris
a8a0be4f0e ; * etc/NEWS: Small edit. 2015-05-13 12:38:05 -04:00
Paul Eggert
a314016775 * src/editfns.c (Fformat): Fix use-after-free bug (Bug#20548). 2015-05-12 23:45:02 -07:00
Glenn Morris
8a9ba4d67b * lisp/progmodes/tcl.el (tcl-filter):
Handle comint-prompt-read-only like gud.el does.  (Bug#20549)
2015-05-12 21:19:38 -04:00
Glenn Morris
660c30cc8c Add basic VC push support.
* lisp/vc/vc.el (vc-push): New autoloaded command.
* lisp/vc/vc-hooks.el (vc-prefix-map, vc-menu-map): Add vc-push.
* lisp/vc/vc-bzr.el (vc-bzr--pushpull): New, factored from vc-bzr-pull.
(vc-bzr-pull): Reimplement using vc-bzr--pushpull.
(vc-bzr-push): New.
* lisp/vc/vc-git.el (vc-git--pushpull): New, factored from vc-git-pull.
(vc-git-pull): Reimplement using vc-git--pushpull.
(vc-git-push): New.
* lisp/vc/vc-hg.el (vc-hg--pushpull): New, factored from vc-hg-pull.
(vc-hg-pull, vc-hg-push): Reimplement using vc-hg--pushpull.
* doc/emacs/maintaining.texi (Pulling / Pushing):
Rename from "VC Pull".  Mention pushing.
(VC With A Merging VCS, VC Change Log): Update xrefs.
(Branches): Update menu.
* doc/emacs/emacs.texi: Update menu.
* etc/NEWS: Mention this.
2015-05-12 20:42:42 -04:00
Nicolas Petton
f9ba8dc074 Improve the seq pcase pattern and the `seq-let' macro
* lisp/emacs-lisp/seq.el: The pcase pattern now matches only if the
object is a sequence, and binds each element of ARGS to the
corresponding element of the sequence.
2015-05-12 22:15:45 +02:00
Eli Zaretskii
4f5382c6e4 Fix tags created from DEFVAR_* declarations in C
* src/Makefile.in (TAGS): Improve the --regex argument to etags,
to make tags extracted from DEFVAR_* declarations more accurate.
2015-05-12 22:55:13 +03:00
Eli Zaretskii
000f5e0e43 Add a test suite for etags
* test/etags/: New test suite, adapted from
http://fly.isti.cnr.it/pub/software/unix/etags-regression-test.tar.bz2,
whose original author is Francesco Potortì <pot@gnu.org>.
2015-05-12 20:08:00 +03:00
Eli Zaretskii
c03c195a27 Fix tagging of symbols in C enumerations
* lib-src/etags.c (consider_token): Don't tag symbols in
expressions that assign values to enum constants.  See
http://lists.gnu.org/archive/html/emacs-devel/2015-05/msg00291.html
for details.
(C_entries): Reset fvdef to fvnone after processing a preprocessor
conditional and after a comma outside of parentheses.
2015-05-12 19:35:54 +03:00
Glenn Morris
20cca4738a * lisp/url/url-handlers.el (url-file-name-completion)
(url-file-name-all-completions): Silence compiler.
2015-05-11 23:51:58 -07:00
Glenn Morris
f2941a78d2 * lisp/emacs-lisp/chart.el (chart-axis-draw): Replace obsolete alias. 2015-05-11 23:50:08 -07:00
Glenn Morris
3903564ed3 * lisp/play/dunnet.el (dun-dos-boot-msg): Fix time. (Bug#20554) 2015-05-11 23:46:40 -07:00
Stefan Monnier
d1b74200da * lisp/emacs-lisp/cl-generic.el: Add dispatch on &context arguments
(cl--generic-mandatory-args): Remove.
(cl--generic-split-args): New function.
(cl-generic-define, cl--generic-lambda): Use it.
(cl-generic-define-method): Use it as well, and add support for
context args.
(cl--generic-get-dispatcher): Handle &context dispatch.
(cl--generic-cache-miss): `dispatch-arg' can now be a context expression.
(cl--generic-dispatchers): Pre-fill.

* test/automated/cl-generic-tests.el (sm-generic-test-12-context): New test.
2015-05-12 00:10:38 -04:00
Glenn Morris
f0352ebdf0 * make-dist: Abort if "make ChangeLog" fails. Add "--no-changelog". 2015-05-11 20:29:06 -04:00
Stefan Monnier
0a21b26c58 * lisp/term/xterm.el: Fix xterm-paste handling for rxvt
* lisp/term/rxvt.el: Require term/xterm.
(rxvt-function-map): Use xterm-rxvt-function-map.
(rxvt-standard-colors): Move before first use.
(terminal-init-rxvt): Use xterm--push-map and xterm-register-default-colors.
(rxvt-rgb-convert-to-16bit, rxvt-register-default-colors): Remove.

* lisp/term/xterm.el (xterm-rxvt-function-map): New var.
Move shared bindings between rxvt and xterm to it.
(xterm-function-map): Use it.  Move the xterm-paste binding to
xterm-rxvt-function-map (bug#20444).
(xterm-standard-colors): Move before first use.
(xterm--push-map): New function.
(xterm-register-default-colors): Take standard colors as argument.
(terminal-init-xterm): Use it.  Adjust call to
xterm-register-default-colors.
2015-05-11 17:23:36 -04:00
Glenn Morris
3c5cc185df * lisp/term/x-win.el: Quieten --without-x compilation.
(x-own-selection-internal, x-disown-selection-internal)
(x-selection-owner-p, x-selection-exists-p, x-get-selection-internal):
Declare.
2015-05-10 23:34:45 -07:00
Glenn Morris
a0dd752f39 * Makefile.in (emacslog): Remove srcdir.
(ChangeLog): Update for the above.
2015-05-10 23:22:08 -07:00
Paul Eggert
1c6e02ca81 Spelling fix 2015-05-10 22:54:38 -07:00
Fabián Ezequiel Gallina
e35bf57271 python.el: better limit for looking-back calls
* lisp/progmodes/python.el (python-shell-accept-process-output):
Use last comint prompt start as limit for looking-back.
2015-05-11 00:03:08 -03:00
Stefan Monnier
b47f3356fb CEDET (srecode-insert-fcn): Fix use of oref on a class
* lisp/cedet/srecode/insert.el (srecode-insert-fcn): Fix use of oref on
a class.  Reported by Pierre Lorenzon.
(srecode-template-inserter-point): Remove declaration.
2015-05-10 22:15:12 -04:00
Stefan Monnier
70ac376aa5 CEDET (srecode-create-dictionary): Avoid obsolete object name
* lisp/cedet/srecode/dictionary.el (srecode-create-dictionary):
Don't use a symbol as an object name.  Reported by Pierre Lorenzon.
2015-05-10 22:08:07 -04:00
Paul Eggert
4b41540b42 C-x 8 shorthands for curved quotes, Euro, etc.
Although C-x 8 lets you insert arbitrary Unicode characters,
it's awkward to use this to insert commonly used symbols such as curved
quotes, the Euro symbol, etc.  This patch adds simpler sequences for
characters commonly found in English text and in basic math.
For example, assuming the Alt key works on your keyboard and iso-transl
is loaded, one can now type "A-[" instead of "A-RET LEFT SIN TAB RET"
to get the character "‘" (U+2018 LEFT SINGLE QUOTATION MARK).
(Bug#20499)
* doc/emacs/mule.texi (Unibyte Mode):
A few other printing characters now work too.
* etc/NEWS: Document this.
* lisp/international/iso-transl.el (iso-transl-char-map):
Also support the following characters:
‐ ‑ ‒ – — ― ‘ ’ “ ” † ‡ • ′ ″ € № ← → ↔ − ≈ ≠ ≤ ≥
2015-05-10 17:42:21 -07:00
Dmitry Gutov
089632800a Add xref-find-regexp
* lisp/progmodes/xref.el (xref-find-function): Describe the
`matches' action.
(xref-find-regexp): New command, using it.
(xref-collect-references): Rename to xref-collect-matches.
(xref--collect-reference): Rename to xref--collect-match.
(xref-collect-matches, xref--collect-match): Accept new argument,
KIND.  Update accordingly.
(xref--regexp-to-extended): New function.

* lisp/progmodes/elisp-mode.el (elisp-xref-find): Support the
`matches' action.
(elisp--xref-find-matches): Accept new argument.  Resolve a FIXME.

* lisp/progmodes/etags.el (etags-xref-find):
Support the `matches' action.
(etags--xref-find-matches): New function.
2015-05-11 02:07:27 +03:00
Glenn Morris
e20b70bf50 * Makefile.in: Fixes for recent change-history changes.
(change-history-nocommit): Update footer regexp.
Ensure output script stays executable.
2015-05-10 12:01:39 -07:00
Nicolas Petton
387e1e1943 New version of `seq-let' based on a pcase pattern
* lisp/emacs-lisp/seq.el (seq-let): Define the macro in terms of a
pcase pattern if `pcase-defmacro' is defined (Emacs>=25.1).
2015-05-10 20:19:38 +02:00
Przemysław Wojnowski
0c81a8bfde Add basic HTML5 tags and a template
* lisp/textmodes/sgml-mode.el: Basic HTML5 support.
(html-tag-alist): Add HTML5 tags.
(html-tag-help): Add new tags descriptions.
(html-navigational-links): Template for nav links.
(html-html5-template): Template for a HTML5 page.
2015-05-10 20:56:45 +03:00
Dmitry Gutov
ec13f4b344 semantic/symref/grep: Don't use word boundaries
* lisp/cedet/semantic/symref/grep.el
(semantic-symref-perform-search): Instead of wrapping input in
word boundaries, check that the characters before and after are
not word constituents.
2015-05-10 20:45:38 +03:00
Dmitry Gutov
f37d86ed0b semantic/symref/grep: Support regexp search
* lisp/cedet/semantic/symref.el
(semantic-symref-hit-to-tag-via-buffer): Don't regexp-quote when
the search type is regexp.

* lisp/cedet/semantic/symref/grep.el
(semantic-symref-perform-search): Support the regexp search type.
Pass -E to Grep when it's used.
2015-05-10 20:45:38 +03:00
Dmitry Gutov
cc64f15788 semantic-symref-regexp: Allow to input an arbitrary string
* lisp/cedet/semantic/symref/list.el (semantic-symref-regexp):
Allow to input an arbitrary string interactively.
2015-05-10 20:45:38 +03:00
Dmitry Gutov
c7d601adef Remove tag-symbol-match-p from etags-xref-find-definitions-tag-order
* lisp/progmodes/etags.el (etags-xref-find-definitions-tag-order):
Remove tag-symbol-match-p from the default value
(http://lists.gnu.org/archive/html/emacs-devel/2015-05/msg00292.html).
2015-05-10 20:45:37 +03:00
Dmitry Gutov
6065ef7343 Declare find-tag obsolete
* lisp/progmodes/etags.el (find-tag): Declare obsolete in favor of
xref-find-definitions.
2015-05-10 20:45:37 +03:00
Jan D
b9ace20958 Draw composite string correctly (Bug#20537).
* nsterm.m (ns_draw_composite_glyph_string_foreground): New function.
(ns_draw_glyph_string): Call it (Bug#20537).
2015-05-10 19:33:06 +02:00
Glenn Morris
ae4e3dd50f ; Auto-commit of ChangeLog files. 2015-05-10 06:24:00 -04:00
Eli Zaretskii
d2c7e14ac3 Avoid infloop in ERC
* lisp/simple.el (line-move-to-column): Ignore field boundaries
while computing line beginning position.  (Bug#20498)
2015-05-09 16:06:48 +03:00
Glenn Morris
b18353c61c ; Auto-commit of loaddefs files. 2015-05-09 06:24:38 -04:00
Glenn Morris
e0c7e102cf * Makefile.in (ChangeLog): No longer pass "srcprefix"; cd instead.
* build-aux/gitlog-to-emacslog: Check called from right directory.
(srcprefix): Remove.
2015-05-08 21:34:35 -04:00
Glenn Morris
63bee24f74 * build-aux/gitlog-to-emacslog: Get rid of "distprefix".
* Makefile.in (ChangeLog): No longer pass "distprefix".
* make-dist: Update "make ChangeLog" syntax for the above change.
2015-05-08 21:15:48 -04:00
Glenn Morris
deb845418b * build-aux/gitlog-to-emacslog: Don't hard-code "ChangeLog.2".
* Makefile.in (ChangeLog): Pass -n to gitlog-to-emacslog.
2015-05-08 21:02:36 -04:00